Tag: asynchronous fifo code in verilog