Tag: static class properties in systemverilog