Synthesis Interview Questions | Synthesis FAQs
April 8, 2021 2021-11-04 18:53Synthesis Interview Questions | Synthesis FAQs
- Detail synthesis flow, What are the inputs required and hand-off
- Detail Physical Design Flow? What are the inputs required and hand-off
- What is OCV, AOCV differences?
- With respect to Freq vs setup and freq vs hold ?
- What is Clock gating ?
- What is local skew Global skew?
- What are DRC and logical DRC?
- What does lib, sdc, lef, spef contains, what all parameter it contains?
- What is MCMM? for hold analysis which corner analysis should be done.
- Typically in a design, how many corners are used in a design, how do we decide?
- What is cross talk? How to avoid crosstalk? All 4 case of Agg* and VICT*
- What are the optimization techniques used for timing?
- What are the Physical values set in SDC. What is set_input_delay and set_output_delay.
- What is Temperature Inversion?
- What is uncertainty and give the equations of setup and hold. Develop the clock waveform in both the cases.
- What are gates and instance and to get them in synthesis?
- What is Clock domain and clock domain crossing?
- Difference between compile, simulation and synthesis
- Synthesis to generic, to mapped and placed
- Clock uncertainty, clock skew, clock latency, clock jitter
- Why is the term lockup latch is used explain it by developing a scenario.
- Explain the half cycle path with proper diagrams.
- What are the different timing derates you have used in your project explain them with a
- How do you fix the Max Transition? Why is it the necessity to specify the output load and Input
- How do you find the find out the instances in a netlist and its count with command.
- What is the flow followed in your previous project & the challenges faced in your project?
- Everything in detail what we have in the skeleton script (Synthesis flow) commands
- In SDC file (set clock_uncertainity, set clock_latency, set max_transition, set max_capacitance, set max_fanout, set_input_delay, set_output_delay, set_drive, set_load) use of each command in detail?
- Low power techniques and ways to reduce leakage power in synthesis
- Different types of optimization techniques.(Area,power,timing & performance)
- SI analysis setup ( crosstalk noise and crosstalk delay, ways to fix SI)
- Difference between block level and chip level design
- Different types of wireload models. Where are the used?
- What are different PVT Conditions. How it effects on delay? (dig. W.r.t delay P,V,T)
- What is short circuit power? Explain short circuit power by drawing CMOS inverter
- Why we choose different corners for timing closure?
- Calculate max frequency for two flops connected back to back with no combo delay. Clk to Q of first flop is 200ps and Setup of second flop is 200ps.
- Need of CTS? Why we fix hold only after CTS?
- Find the max frequency between the two flops. cq = 0; Tsu = 100ps; Th = 100ps;Tcombo = 100ps;
- Why can’t we use normal buffer in clock tree synthesis?
Recommended Books
[siteorigin_widget class=”WP_Widget_Custom_HTML”][/siteorigin_widget]
Search
Categories
Latest Posts
Hot items